D. A. Osvik, A. Shamir, and E. Tromer, Cache attacks and countermeasures: The case of aes, pp.1-20, 2006.
DOI : 10.1007/11605805_1

URL : http://eprint.iacr.org/2005/271.ps.gz

M. S. Inci, B. Gulmezoglu, G. Irazoqui, T. Eisenbarth, and B. Sunar, Cache attacks enable bulk key recovery on the cloud, vol.9813, pp.368-388, 2016.

Y. Yarom and K. Falkner, Flush+reload: A high resolution, low noise, l3 cache side-channel attack, USENIX Security, vol.14, p.719

D. Gruss, C. Maurice, K. Wagner, and S. Mangard, Flush+flush: A fast and stealthy cache attack, DIMVA, pp.279-299, 2016.
DOI : 10.1007/978-3-319-40667-1_14

URL : http://arxiv.org/pdf/1511.04594

C. Disselkoen, D. Kohlbrenner, L. Porter, and D. Tullsen, Prime+abort: A timer-free high-precision l3 cache attack using intel TSX, 26th USENIX Security Symposium (USENIX Security 17), pp.51-67, 2017.

P. K. , Spectre attacks: Exploiting speculative execution, 2018.

M. Lipp, M. Schwarz, D. Gruss, T. Prescher, W. Haas et al., Meltdown

Q. Ge, Y. Yarom, D. Cock, and G. Heiser, A survey of microarchitectural timing attacks and countermeasures on contemporary hardware, IACR Crypt. ePrint Arch, p.613, 2016.

&. Papi, , 2018.

M. Chiappetta, E. Savas, and C. Yilmaz, Real time detection of cachebased side-channel attacks using hardware performance counters, Appl. Soft Comput, vol.49, issue.C, pp.1162-1174, 2016.

M. Payer, Hexpads: a platform to detect stealth attacks, International Symposium on Engineering Secure Software and Systems, pp.138-154, 2016.

J. Demme, M. Maycock, J. Schmitz, A. Tang, A. Waksman et al., On the feasibility of online malware detection with performance counters, ACM SIGARCH Computer Architecture News, vol.41, issue.3, pp.559-570, 2013.

T. Zhang, Y. Zhang, and R. B. Lee, Cloudradar: A real-time sidechannel attack detection system in clouds, International Symposium on Research in Attacks, Intrusions, and Defenses, pp.118-140, 2016.

M. A. , Performance counters to rescue: A machine learning based safeguard against micro-architectural side-channel-attacks, Crypt. ePrint Arch, 2017.

M. Bazm, T. Sautereau, M. Lacoste, M. Sudholt, and J. Menaud, Cache-based side-channel attacks detection through intel cache monitoring technology and hardware performance counters, IEEE FMEC
DOI : 10.1109/fmec.2018.8364038

URL : https://hal.archives-ouvertes.fr/hal-01762803

Z. Allaf, M. Adda, and A. Gegov, Confmvm: A hardware-assisted model to confine malicious vms, UKSim2018: UKSim-AMSS 20th International Conference on Modelling & Simulation. IEEE, 2018.

S. Peng, Q. -f, J. Zhou, and . Zhao, Detection of cache-based side channel attack based on performance counters, DEStech Transactions on Computer Science and Engineering, 2017.

M. Mushtaq, A. Akram, M. K. Bhatti, M. Chaudhry, V. Lapotre et al., Nights-watch: A cache-based side-channel intrusion detector using hardware performance counters, ISCA'18 Int'l Workshop on Hardware and Architectural Support for Security and Privacy, vol.1, pp.1-1, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01806729

Z. Allaf, M. Adda, and A. Gegov, A comparison study on flush+reload and prime+probe attacks on aes using machine learning approachess, UK Workshop on Computational Intelligence, pp.203-213, 2017.
DOI : 10.1007/978-3-319-66939-7_17